Soluzioni per applicazioni SerDes in logiche programmabili

Gli ultimi anni hanno definitivamente sancito la transizione dai sistemi di trasmissione paralleli a quelli seriali per le connessioni chip-to-chip, board-to-board e chassis-to-chassis. Unitamente alla diffusione delle logiche programmabili con la possibilità di implementare a costi contenuti applicazioni custom anche con prestazioni elevate, questo ha determinato un radicale cambiamento nei paradigmi di progetto. Di seguito sono presentate alcune delle più interessanti soluzioni che sono apparse in questo ambito nell'ultimo periodo.

Transceiver embedded in Fpga high-end
I primi dispositivi logici programmabili ad integrare on-chip transceiver gigabit multipli sono state le Fpga Xilinx Virtex-II Pro immesse sul mercato nell'ormai lontano 2003. Gli ultimi anni hanno visto una proliferazione di soluzioni del genere. I più recenti dispositivi Virtex-5 TXT, ad esempio, sono tra le prime Fpga in tecnologia a 60 nm in grado di supportare soluzioni single-chip per applicazioni 100 Gigabit Ethernet grazie alla disponibilità di core hard IP Mac e fino a 48 transceiver multi-gigabit a 6.5 Gbps. Rendendo così disponibile una banda totale per singolo dispositivo superiore a 600 Gbps, risultano piattaforme ideali per applicazioni di prossima generazione nel settore delle telecomunicazione tra cui, ad esempio, sistemi 100 GbE e 120 Gbps Interlaken, 40 Gbps Quad Xaui e 50 Gbps Interlaken, OC-768 e OTU-3, SFI-5 e 4xSFI4.2. Fino a 32 transceiver con circuito Cdr in grado di supportare trasmissioni fino a 8.5 Gbps e ulteriori 16 canali SerDes a 6.4 Gbps sono integrati invece nelle Fpga Altera Stratix IV GX; 11.3 Gbps è la banda disponibile per singolo canale nelle Stratix IV GT. Tensione di uscita differenziale programmabile dinamicamente, circuiti di pre-enfasi per migliorare la qualità del segnale, resistori di terminazione integrati on-chip, riconfigurazione dinamica dei transceiver sono solo alcune delle caratteristiche principali che rendono possibile la realizzazione di soluzioni standard (come 40/100G Ethernet, Pci Express, Serial RapidIO, Xaui/HiGig, OIF CEI-6G, Interlaken, SFI-5, Cpri, Obsai, Fibre Channel, HyperTransport, SDI) o proprietarie.  Innovative sono pure le soluzioni di Lattice che già da qualche tempo rendono disponibile all'interno delle Fpga SC/M fino a 32 canali SerDes da 600 Mbps a 3.8 Gbps unitamente alla tecnologia Purespeed IO per applicazioni di input/output parallele source-synchronous. Le macro flexiPCS (Physical Coding Sublayer) supportano i principali protocolli di comunicazione standard come Gigabit Ethernet, Soned/SDH, Fibre Channel, Pci Express e Serial RapidIO.

Transceiver embedded per applicazioni mid-range
Fino a qualche tempo fa, l'impiego di transceiver multi-gigabit in logiche programmabili, principalmente per problemi di costi, è stato limitato prevalentemente ad applicazioni high-end. Sull'onda della sempre maggiore diffusione dei protocolli seriali, tuttavia, la situazione sta oggi cambiando. Una delle innovazioni più interessanti nel mercato mid-range, ad esempio, è certamente l'introduzione dei dispositivi ECP3 di Lattice, realizzate in una tecnologia a 65 nm resa disponibile dalle fonderie Fujitsu. Ideali per applicazioni cost-sensitive nei settori networking, video, storage e wireless, le Fpga ECP3 integrano fino a 16 canali SerDes in grado di operare tra 250 Mbps e 3.2 Gps con una dissipazione di potenza per singolo canale inferiore a 100 mW alla massima frequenza di lavoro. Compatibili con le specifiche di jitter Xaui IEEE802.3-2002 supportano applicazioni Pci Express, Ethernet (GbE, Xaui e SGMII), Smpte, Serial Rapid I/O, Cpri e Obsai. I buffer di I/O in tecnologia sysI/O supportano, inoltre, interfacce sincrone high-speed 7:1 in grado di emulare protocolli Lvds standard come ChannelLink. Completano l'architettura della Fpga, una matrice di fino a 149k Lut a 4 ingressi, fino a 6.8 Mbit di memoria Embedded Block RAM, 2 DDL e fino a 10 PLL, blocchi sysDsp con Alu. Lattice dichiara per i dispositivi una riduzione fino all'80% della potenza statica e fino al 50% di quella complessiva rispetto a dispositivi equivalenti di altre case. Il kit di sviluppo LatticeECP3 Serial Protocol Evaluation Board, di prossima produzione, include 4 canali Pci Express, interfaccia Sata e Gigabit Ethernet, on-board boot flash e memoria DDR3.
Le Fpga ECP3 hanno iniziato ad essere distribuite come campioni a partire dal settembre 2008 ma sono state pubblicizzate diffusamente soltanto da poco, secondo la consueta strategia aziendale che punta ad un approccio più conservativo sul mercato, evitando operazioni di puro marketing. L'introduzione delle ECP3 anticipa così le prossime evoluzioni promesse da Xilinx e Altera per il mercato mid-range. I dispositivi Spartan-6 di Xilinx, realizzati in tecnologia a 45 nm, integreranno fino a 8 transceiver GTP a bassa dissipazione di potenza ed un end-point Pci Express. Altera ha invece realizzato la versione II della Fpga Arria in tecnologia a 40 nm e con fino a 16 transceiver compatibili con gli standard Pci Express, Xaui e Gigabit Ethernet e in grado di supportare data rate tra 600 Mbps e 3.75 Gbps.

Soluzioni Low-cost Fpga-attach
Nelle applicazioni low-cost o nei casi in cui siano richiesti un numero di canali maggiori di quanto reso disponibile dai transceiver embedded, alternativa alle soluzioni indicate in precedenza sono i prodotti SerDes Fpga Attach sviluppati da National Semiconductor. I DS32EL0421/DS32ELX0421, ad esempio, sono dispositivi in grado di operare a frequenza compresa tra 125 MHz e 312.5 MHz con interfaccia parallela Lvds in ingresso a 5 bit, raggiungendo così data-rate fino a 3.124 Gbps (2.5 Gbps se è impiegato lo schema di encoding in DC integrato) anche su circuito stampato (FR4). Programmabile mediante SMBus o tramite pin di controllo dedicati, il componente dispone, inoltre, di un una capacità di sensing remoto che consente di rilevare automaticamente la presenza del deserializzatore associato per la negoziazione dello stato del link. Avnet rende disponibile una scheda di espansione EXP SerDes Module che può essere utilizzata, ad esempio, con i sistemi di sviluppo per Fpga Spartan-3 correntemente distribuiti dall'azienda. Basata sui DS32ELX0421/0124 serializer/deserializer la scheda consente comunicazioni high-speed fino a 3.125 Gbps anche su cavi a basso costo di categoria Cat-5/Cat-6. I DS32EL0421/DS32ELX0421 completano l'offerta National che include i SerDes a 10 bit B-Lvds della serie DS92LV1021A/DS92LV1023E, quelli a 16/18 bit della serie DS92LV16/18 ed a 24-bit della serie Fdp-Link II. Interessante in questo ambito, la recente introduzione degli estender DS15BA101 e DS15EA101 che consentono di incrementare, nella parte alta della banda di frequenze di trasmissione supportate, la lunghezza della tratta di un fattore fino a 4. Il DS15BA101 è un buffer differenziale high-speed con capacità di signal buffering e signal repeating per pilotaggio di cavo. Il livello di segnale in uscita può essere variato mediante semplice resistore esterno; la dissipazione di potenza a 1.5 Gbps è 150 mW. Unitamente ai DS92LV18, si è in grado di realizzare trasmissioni su distanze oltre i 100 metri con cavi Cat-5 operando a basse frequenze; su cavo coassiale (tipo Belden 9914) si possono invece raggiungere distanze fino oltre i 300 metri ad un data-rate di 1.2 Gbps.

Video Broadcasting per applicazioni standard e custom
Alla stessa famiglia di soluzioni Fpga Attach appartengono anche i dispositivi SerDes della serie LMH0340 espressamente sviluppati per applicazioni di video broadcasting. Dispongono di interfaccia di ingresso a 5 bit Lvds, non richiedono Vco esterno, integrano un driver per il pilotaggio di cavo; l'uscita, con jiiter tipicamente di 30 ps per applicazioni HD e 3G, è compatibile con i protocolli Smtpe 424M, Smtpe 292M, Smtpe 259M-C e Dvb-Asi. La dissipazione di potenza è tipicamente di 440 mW; il data rate massimo fino a 2,97 Gbps. L'impiego con logiche programmabili consente di supportare diversi protocolli che condividano un comune livello fisico mediante sviluppo di core IP. National Semiconductor rende disponibili per tali applicazioni due schede di sviluppo SDALTEVK e SDXILEVK per dispositivi Altera Cyclone III e Xilinx Spartan-3A. Ogni scheda presenta ingressi 3G-Sdi dotati di equalizzatore adattativo di cavo (LMH0344), serializzatore (LMH0340) e deserializzatore (LMH0341), separatore di sincronismo (LMH0341) e generatore di clock (LMH1982). I kit di sviluppo includono progetti di riferimento per Fpga con IP per audio embedding, generazione di test pattern e framing per i formati SD, HD e 3 Gbps tipo-A Sdi.

Connessioni custom chip-chip,
board-to-board e backplane seriali

Per applicazioni di interconnessione chip-chip, board-to-board o, più in generale, per la realizzazione di backplane seriale sono invece pensati i dispositivi Texas Instruments della serie TLK3132/3132/3134. Si tratta di transceiver seriali a 1/2/4 canali in grado di operare tra 600 Mbps e 3.75 Gbps supportando applicazioni 10 GbE (Xaui), 1X/2X/10X Fibre Channel (FC), Cpri (x1/x2/x3), Obsai (x1/x2/x4), e 1 GbE (1000Base-X); la dissipazione di potenza (per la versione a 4canali) è di circa 1.6 W. I dispositivi, oltre ad implementare le funzionalità di conversione parallelo-serie, serie-parallelo e di estrazione del clock proprie dei sistemi SerDes, integrano anche funzionalità complete Xgxs/Pcs. Il trasmetttitore opera in modalità Cml differenziale con resistore di terminazione integrato; in alternativa può essere configurato come transceiver Xaui - compatibile anche con link seriali Cpri LV - o 10 GFC. Il TLK3134 supporta un data-path a 32 bit e 4 bit di controllo oltre ad una interfaccia a due fili per accesso ai registri di configurazione interni; il trasmettitore integra un circuito di de-enfasi ed il ricevitore uno stadio di equalizzazione adattativa che consentono di estendere la distanza di connessione su circuito stampato rispetto alla precedenti soluzioni. Il VCS3441 è, invece, il primo SerDes high-speed ad integrare nel modulo ricevitore la tecnologia di visualizzazione di forme d'onda VScope di Vitesse che rende disponibili funzionalità di line diagnostic e remote monitoring. Consentendo la scansione del segnale dopo il circuito di equalizzazione e prima della digitalizzazione e rendendo accessibili le informazioni da remoto, la tecnologia VScope semplifica il debug dei problemi di integrità di segnale che tipicamente possono presentarsi nei sistemi high-speed. Il VCS3441 è in grado di operare come singolo SerDes 20:1, in modalità 10:1 ridondanta o come serializzatore 10:1 / deserializzatore 1:10 quadruplo con data rate compresi tra 125 Mbps e 6.375 Gbps. Un circuito Cdr high-speed dedicato per singolo canale consente di rimuovere jitter random in accoppiamento a fibre ottiche; le caratteristiche di ingresso/uscita risultano configurabili con il supporto dei principali standard attuali. K-micro ha recentemente annunciato lo sviluppo di un innovativo Cdr SerDes in grado di operare fino a 1.244 Gbps. Con data-path a 20 bit in standard Ttl, il dispositivo appare ideale per applicazioni Olt basate su logiche programmabili in reti Gpon. Il dispositivo è in grado di operare in una modalità automatica in cui la finestra di osservazione per la ricostruzione del clock risulta di durata prefissata o in modalità manuale, consentendo in questo caso di adattare le prestazioni del ricevitore alle caratteristiche del transceiver ottico. In funzionamento a burst supporta jiiter fino 0.75 UI.

LASCIA UN COMMENTO

Inserisci il tuo commento
Inserisci il tuo nome