Arriva la nuova versione del flusso digitale completo di Cadence

Arriva la nuova versione del flusso digitale completo di Cadence Design Systems — collaudata in centinaia di tapeout a nodi avanzati —, potenziata per ottimizzare ulteriormente i risultati PPA (potenza, prestazioni e area) in una varietà di contesti applicativi, tra cui automotivemobilenetworkingelaborazione ad alte prestazioni e intelligenza artificiale.

Il flusso presenta molteplici funzionalità all’avanguardia, tra cui un motore di piazzamento e ottimizzazione fisica unificato, ed è dotato di capacità di machine learning che consentono di raggiungere l’eccellenza progettuale con throughput fino a 3 volte superiori e caratteristiche PPA potenziate fino al 20%.

Il nuovo flusso digitale Cadence permette di raggiungere risultati di PPA e throughput superiori attraverso i seguenti miglioramenti chiave:

  • Tecnologia iSpatial Cadence: la tecnologia iSpatial integra i tool GigaOpt e GigaPlace Placement Engine di Innovus Implementation System in GigaPlace Placement Engine e GigaOpt Optimizer in Genus Synthesis Solution, mettendo a disposizione tecniche quali assegnazione dei layer, skew del clock e pillar via. La tecnologia iSpatial consente una transizione ottimizzata dalla sintesi fisica di Genus all’implementazione Innovus utilizzando un’interfaccia utente e un database comuni.
  • Capacità ML: le funzionalità ML consentono agli utenti di sfruttare i loro progetti esistenti per “addestrare” la tecnologia di ottimizzazione iSpatial, riducendo al minimo i margini di sviluppo rispetto ai flussi place and route tradizionali.
  • Convergenza di signoff ottimizzata: il flusso digitale completo comprende motori unificati di implementazione, timing e signoff IR, migliorando la convergenza di signoff attraverso una closure contemporanea del progetto per tutti gli obiettivi fisici, di timing e di affidabilità. Ciò consente ai clienti di ridurre le tolleranze di progettazione e le iterazioni.

“I nuovi miglioramenti del flusso digitale completo si basano sul flusso integrato ampiamente adottato, facendo avanzare ulteriormente la posizione di Cadence nella progettazione digitale e signoff e consentendo ai clienti di raggiungere l’eccellenza nella progettazione SoC”, spiega Chin-Chi Teng, senior vice president e general manager del gruppo Digital & Signoff di Cadence. “Abbiamo collaborato strettamente con i nostri clienti che sono sotto pressione per soddisfare i programmi compressi con progetti sempre più grandi, offrendo loro le caratteristiche di cui hanno bisogno per realizzare i guadagni di PPA in modo più efficiente”.

Il flusso digitale completo Cadence è costituito da Innovus Implementation System, Genus Synthesis Solution, Tempus Timing Signoff Solution e Voltus IC Power Integrity Solution. Fornisce ai clienti un percorso veloce per la chiusura dei progetti e per una migliore predicibilità e supporta la strategia Intelligent System Design dell’azienda, che consente l’eccellenza nella progettazione di sistemi su chip (SoC) a nodo avanzato.

LASCIA UN COMMENTO

Inserisci il tuo commento
Inserisci il tuo nome