Uno strumento per l’integrità dell’alimentazione

Indirizzando le sfide legate all'integrità dell'alimentazione, che stanno oggi affrontando gli sviluppatori di prodotti elettronici, Cadence Design Systems presenta Voltus IC Power Integrity Solution.
Il nuovo strumento garantisce livelli di prestazioni e di capacità di analisi d'integrità dell'alimentazione da record, necessari per soddisfare le esigenze imposte dai progetti di nuova generazione. Voltus IC Power Integrity Solution si basa su una nuova ed esclusiva tecnologia e si integra con i tool di sviluppo Cadence per IC, package, Pcb e sistemi: questo insieme consente ai team di progettazione di gestire al meglio le problematiche legate all'alimentazione durante tutto il ciclo di sviluppo del prodotto, e permette di ottenere un più veloce completamento dei progetti.
Dopo il rilascio di Tempus Timing Signoff Solution, avvenuto lo scorso maggio, Voltus rappresenta la seconda importante novità lanciata quest'anno da Cadence per accelerare i processi di signoff e di chiusura dei progetti.
Utilizzando Voltus, i clienti Cadence possono ridurre al minimo i tempi associati alle fasi di analisi e di signoff dell'alimentazione facendo leva su una serie di prestazioni chiave, tra le quali:

• un nuovo engine di analisi d'integrità dell'alimentazione distribuito e ad elevato parallelismo, che offre un incremento scalabile di prestazioni fino a 10 volte rispetto ai prodotti concorrenti

• un'architettura gerarchica che, insieme all'esecuzione parallela, può essere distribuita su più Cpu e più server, consentendo l'analisi di progetti fino a un massimo di un miliardo di istanze

• una tecnologia di calcolo parallelo con accuratezza Spice, capace di fornire i risultati di signoff dell'alimentazione più precisi possibile

• l'ottimizzazione fisica dell'integrità dell'alimentazione, attraverso analisi preventive dei rail di alimentazione, delle capacità di disaccoppiamento e degli switch di power gating: questo permette di migliorare la qualità dell'implementazione fisica e consente di accelerare la chiusura del progetto.

Voltus IC Power Integrity Solution offre tutte queste funzionalità come prodotto autonomo, ma garantisce benefici ancora maggiori se integrato con gli altri tool di Cadence:

• quando utilizzato con Tempus Timing Signoff Solution, Voltus rappresenta la prima soluzione unificata di signoff elettrico del settore e assicura signoff dell'alimentazione e convergenza temporale più rapidi

• quando combinato con Encounter Digital Implementation System e con Allegro® Sigrity Power Integrity, offre un'esclusiva e completa soluzione di integrità dell'alimentazione che abbraccia chip, package e schede

• se integrato con Virtuoso Power System, consente l'analisi dell'IP custom/analogica utilizzata nei progetti SoC analogici e mixed-signal

• insieme alla funzionalità Dynamic Power Analysis di Palladium, permette di effettuare accurate analisi di integrità dell'alimentazione dei circuiti integrati, guidata da vettori di simulazione ricavati dal mondo reale.

LASCIA UN COMMENTO

Inserisci il tuo commento
Inserisci il tuo nome