I sistemi-di-sistemi nel futuro dell’elettronica

Nel motto utilizzato per la recente "Cadence User Conference" CDN Live Emea - organizzata recentemente dal colosso statunitense della progettazione assistita - si condensa gran parte di una strategia totalmente orientata alla collaborazione e alla multidisciplinarità per lo sviluppo dei prodotti elettronici attuali e futuri. L'evento Cadence è stato l'occasione per riunire partner e clienti e per offrire loro l'opportunità di condividere successi e soluzioni. Grande enfasi sul mercato delle applicazioni automotive, una delle industrie più sfidanti e promettenti soprattutto per l'elettronica europea. Non a caso l'evento CDNLive è si è tenuto a Monaco di Baviera - in Germania - paese portabandiera per il settore automobilistico continentale. E non a caso è stato proprio il rappresentante di una delle case più all'avanguardia nella ricerca automotive, Audi, ad aprire i lavori, sottolineando l'importanza del ruolo dei semiconduttori nel guidare l'innovazione e lo sviluppo di nuove funzioni, sia a bordo delle auto sia a livello infrastrutturale. Sempre più numerose e complesse, tali funzioni comporteranno una crescente integrazione tra tecnologie e discipline tradizionalmente separate, con ovvie ripercussioni anche a livello progettuale. Per poter sfruttare appieno gli sviluppi nel campo dei semiconduttori e del packaging, saranno infatti necessarie competenze, partnership, processi e metodologie di tipo totalmente nuovi. Audi, per voce di Berthold Hellenthal, responsabile strategie per il settore semiconduttori, indirizza questa opportunità con un approccio omnicomprensivo, denominato Progressive Semiconductor Program (Pscp), che mira ad accelerare l'innovazione e contemporaneamente a ridurre il time-to-market. In tale contesto è evidente come i nuovi modelli di collaborazione abbiano modificato la catena del valore tradizionale. Questo aspetto è evidente anche nelle parole di Charlie Huang, vice presidente mondiale del gruppo "Field Operations an Sistems & Verification" di Cadence. Secondo Huang, nei nuovi prodotti elettronici moderni la tendenza è di dare vita a soluzioni multifunzionali costituite da sottosistemi collegati nell'ambito di un contesto ancora più ampio e aperto, ma anche sempre più orientato al cloud e alle app. A tale proposito Huang ha coniato un nuovo termine, di cui probabilmente in futuro sentiremo parlare spesso: "sistemi-di-sistemi".

Verso i sistemi-di-sistemi
Come caso esemplificativo dove l'avvento dei sistemi-di-sistemi è evidente, Huang ha citato proprio l'ambiente automotive, dove controllo, sicurezza, gestione del veicolo e altre applicazioni esterne tendono a convergere e a dialogare tra loro attraverso collegamenti via satellite e via internet. Le sfide più rilevanti associate alla parte microelettronica di questi sistemi-di-sistemi abbracciano ovviamente le problematiche tradizionali dei semiconduttori: funzionalità, temporizzazioni, gestione della potenza, prestazioni. A queste si aggiungono però altre sfide, totalmente nuove, tra le quali - solo per menzionare le più rilevanti - la ripartizione delle funzioni, i protocolli di comunicazione, l'accesso alla proprietà intellettuale, lo sviluppo e il trasporto del codice durante la progettazione della parte circuitale, la verifica congiunta di hardware e software. L'impatto di questa evoluzione sul mondo Eda è molto profondo. Già oggi i fornitori sono chiamati a estendere il proprio raggio d'azione oltre gli scopi "core" tradizionali - cioè la progettazione delle singole schede e dei singoli chip, adottando una visione più ampia che consideri il prodotto finale nel suo insieme. Tale visione non può che concentrarsi sullo sviluppo, l'integrazione e la verifica di componenti a livello di sistema - come ad esempio schede, package, software embedded e IP - e considerando come tali elementi interagiscono con gli aspetti meccanici e termici dell'insieme. Se il punto di arrivo è uno sviluppo sistemistico, i fornitori di Eda dovranno essere in grado di fornire gli strumenti, la proprietà intellettuale, i servizi e i contenuti software necessari per arrivare sempre di più al centro delle attività di progettazione e verifica del prodotto finale del cliente. In una recente intervista, un portavoce Cadence ha avuto modo di spiegare che nonostante il settore di riferimento dei fornitori Eda continuerà ad essere quello dei produttori di chip, l'adozione di una strategia a livello di sistema permetterà di accedere a un mercato, rappresentato dai costruttori di apparati, il cui fatturato mondiale è valutato intorno ai 2.600 miliardi di dollari, quasi 10 volte più grande rispetto a quello dei semiconduttori. I requisiti per avere successo in questo nuovo perimetro sono rigorosi. Essi comprendono un ampio portafoglio di IP hardware e software, strumenti di sviluppo congiunto, tool di implementazione analogica e digitale, ambienti per il packaging degli IC, piattaforme di analisi per l'integrità dell'alimentazione e dei segnali a livello di sistema e soluzioni di progettazione PCB. Attualmente solo Cadence offre un portafoglio che riflette pienamente questo tipo di evoluzione.

L’ampliamento dell'offerta
La società, che nel 2013 ha fatturato 1,46 miliardi di dollari (379 milioni nel primo trimestre 2014) investendo in R&S ben 534 milioni di dollari, celebra i 25 anni di attività con uno delle offerte IP tra le più ampie al mondo. Uno step fondamentale dell'evoluzione Cadence riguarda lo sviluppo di proprietà intellettuale di livello fisico e di controllo per lo standard DDR4. La proposta è scalabile fino a 32000Mbps (contro i tradizionali 2133 Mbps attualmente raggiungibili dagli standard sia DDR3 sia DDR4) ed è validata sulla tecnologia TinFet da 16 nm di Tsmc. L'Ip Phy DDR4 di Cadence supporta i moduli di memoria Udimm e Rdimm offrendo prestazioni orientate all'affidabilità, alla disponibilità e alla funzionalità, quali controlli di ridondanza ciclica e inversione bus dati. L'IP DDR4 PHY implementa anche una serie di innovazioni architetturali quali temporizzazione 4X per minimizzare la distorsione del duty cycle, isolamento multi-banda (per aumentare l'immunità al rumore) e I/O con slew-rate controllato. Le prestazioni da record - rese possibili da uno sviluppo validato su processi che rappresentano la frontiera più avanzata nel campo della fonderia - sono destinate ai system on chip per server, switch di rete e strutture di memorizzazione con requisiti di memoria particolarmente elevati. Sempre in termini di IP, un ulteriore elemento abilitante dell'offerta Cadence riguarda l'accordo di accesso tecnologico siglato recentemente con Arm. L'intesa garantisce a Cadence l'accesso all'architettura Arm v8-A a 64 bit della serie di processori Arm Cortex-A50 nonché alla tecnologia di elaborazione Armv7 a 32-bit, alle Gpi (Graphic processor units) Arm Mali e alle librerie System IP e Arm Artisan. Cadence è il primo partner Eda ad accedere all'architettura Armv8-A. Grazie all'accordo sarà possibile l'ottimizzazione congiunta di tool, proprietà intellettuale e librerie fisiche. Questo garantirà a entrambe le società l'opportunità di offrire tecnologie di caratura mondiale per applicazioni ad alta efficienza energetica destinate al mercato mobile, consumer, networking, storage, automotive e ad altri contesti ad elevate prestazioni.

Progettazione a 360°
In un panorama progettuale sempre più ampio e multidisciplinare, Cadence può vantare una proposta completa, ancorata a una solida base IP, che spazia dalla pianificazione iniziale al debug finale del silicio. La società è costantemente impegnata nell'ampliare il proprio portafoglio con offerte complementari di nuove tecnologie, come ad esempio i sistemi di sintesi e di analisi di ultima generazione. Un esempio concreto di questo sforzo è rappresentato dall'acquisizione di Forte Design Systems, fornitore di IP aritmetica e di strumenti di sintesi SystemC di alto livello, avvenuta lo scorso febbraio. I prodotti di Forte andranno ad affiancarsi ai tool di progettazione e verifica C-to-Silicon Compiler Cadence, consentendo di ridurre del 20% il time-to-market. Un altro esempio di innesto tecnologico è rappresentato dalle soluzioni di analisi formale di Jasper Design Automation, entrata nella scuderia Cadence lo scorso aprile. L'offerta Jasper andrà a rafforzare la System Development Suite Cadence in un settore - quello della verifica - che secondo la società rappresenta circa il 70% del costo di sviluppo di un system-on-chip. Sempre in termini di verifica è da segnalare anche l'ampliamento della soluzione per sistemi basati su processori Arm, tecnologia dominante nel campo dell'elaborazione embedded. Anche questa nuova soluzione ha l'obiettivo di ridurre il time-to-market, un fattore determinante per il successo commerciale dei progetti delle applicazioni mobili, di networking e server. Il nuovo strumento di Cadence offre numerosi potenziamenti, accelerando lo sviluppo di sistema e anticipando la fase d'implementazione pre-silicio del software dei progetti basati su processori Arm della serie Cortex -A. In partnership con Arm, Cadence ha arricchito la propria soluzione di verifica System Development Suite con un nuovo ambiente di test con funzioni adattabili per la caratterizzazione delle prestazioni di interconnessione nell'ambito di Cadence Interconnect Workbench (con integrazione Amba Designer). Il tutto garantisce una significativa accelerazione delle prestazioni di analisi e verifica dei sistemi basati su tool di sviluppo NIC-400 e su IP di sistema CCI-400 CoreLink. Oltre a questo, il potenziamento riguarda anche il supporto per verifiche "hardware-accurate" del software OS embedded utilizzando la piattaforma Palladium XP II con i Fast Models dei processori a 64-bit Cortex della famiglia ARMv8 e la nuova IP di verifica, con supporto per il protocollo Amba 5 Coherent Hub Interface. Di rilievo anche l'annuncio dell'ampliamento del simulatore FastSPICE ad alte prestazioni Spectre XPS (eXtensive Partitioning Simulator), il quale supporta ora la progettazione mixed-signal a livello transistor. Con un throughput 10 volte superiore rispetto alla precedente soluzione advanced-Spice, Spectre XPS permette di effettuare simulazioni più complete e rapide su grandi e complessi progetti anche a segnali misti. Spectre XPS permette il riutilizzo dei modelli, degli stimoli e delle analisi, riducendo i costi di supporto e i tempi di messa in produzione. La piattaforma unificata di simulazione Spectre abbraccia le tecnologie Spice, Spice, RF e FastSpice, facilitando la transizione tra analisi e flussi. Spectre XPS è integrato nel tool di caratterizzazione delle memorie Liberate MX per la progettazione di Sram e nell'ambiente di sviluppo analogico Virtuoso. La tecnologia di ripartizione proprietaria di Spectre XPS permette di migliorare le prestazioni mantenendo elevati livelli di accuratezza. Spectre XPS risolve automaticamente i blocchi più sensibili del circuito con un livello di precisione maggiore, accelerando le aree meno critiche: questo permette di ottimizzare il bilancio tra prestazioni e accuratezza. Nei circuiti mixed-signal, Spectre XPS consente la simulazione multi-core, mettendo a disposizione un ulteriore possibilità di scalare le prestazioni richieste nei grandi progetti a segnali misti. Tra le altre tappe di rilievo si segnala ancora il potenziamento dei tool Pcb Allegro con una serie di strumenti per l'integrità dei segnali e per l'integrità energetica inter-scheda acquisiti nel 2012 da Sigrity e chiaramente orientati alla progettazione di sistema. Benché Cadence stia ampliando il campo d'azione nella direzione dei sistemi, i semiconduttori restano al centro degli interessi della società. Gli sviluppi e il consolidamento degli strumenti per la creazione e la verifica di silicio complesso di tipo digitale, analogico e mixed-signal sono orientati nella direzione dei giga-hertz, dei giga-gate e dell'efficienza energetica. Proprio per questo, grazie alla collaborazione con fonderie e fornitori di IP, la società sta aggiornando i propri strumenti di progettazione digitale e analogica. L'obiettivo è gestire i nuovi sviluppi tecnologici, primo tra tutti il già citato transistor FinFET che la maggior parte delle fonderie supporterà su nodi di processo inferiori ai venti nanometri. Tra gli annunci più recenti spiccano il rilascio degli ambienti Tempus Timing Signoff Solution e Voltus IC Power Integrity Solution, nonché della soluzione di Electrically Aware Design Virtuoso, nuova metodologia custom/analogica per l'estrazione in tempo reale delle interconnessioni in-design. Oltre alle strette collaborazioni con numerosi altri attori dell'ecosistema elettronico, il novero di iniziative che ha permesso a Cadence di dotarsi di nuove tecnologie per reggere la spinta verso la progettazione di sistema comprende alcune recenti acquisizioni di nomi illustri dell'Eda. Alle già citate Forte e Jasper, si aggiungono Tensilica, Cosmic Circuits (sviluppatore di IP analogica/mixed-signal e di soluzioni di livello fisico per protocolli di interconnessione) e la divisione IP di Evatronix, un fornitore di proprietà intellettuale per Usb, Mipi, visualizzazione e controller di storage. Sicuramente l'industria elettronica sta vivendo un momento di grande fermento tecnologico pilotato dall'avvento dei dispositivi mobili, dal cloud computing e dal cosiddetto Internet of Things. Il futuro parla di sistemi sempre più potenti, connessi ed energeticamente virtuosi. Tutto ciò richiederà nuove metodologie di sviluppo, offrendo all'industria Eda - oltre a una serie di incredibili opportunità - l'onere e l'onore di sostenere un ruolo centrale nei nuovi modelli di partnership tra Oem e fornitori di tecnologie. E Cadence si sta indubbiamente posizionando in prima linea per guidare ancora una volta l'evoluzione nel settore della progettazione elettronica.

LASCIA UN COMMENTO

Inserisci il tuo commento
Inserisci il tuo nome