Un Fpga da 6,8 miliardi di transistor

È davvero superfluo spiegare i motivi che rendono desiderabile disporre di Fpga sempre più grandi: una maggiore disponibilità di elementi logici consente di implementare su un unico dispositivo una maggiore quantità di funzioni, con tutti i vantaggi che ne conseguono in termini di integrazione. Finora, però, l'aumento della capacità logica degli Fpga è stato strettamente legato alla riduzione delle geometrie di processo: in altri termini, solo l'ulteriore miniaturizzazione poteva portare a un salto nella densità dei dispositivi. Oggi, però, una nuova soluzione tecnologica consente a Xilinx di offrire subito Fpga di maggiore capacità logica, senza attendere il passaggio a geometrie di processo inferiori. La soluzione si chiama Stacked Silicon Interconnect e consiste nell'assemblaggio di diversi die all'interno nel medesimo contenitore. Lo stretto livello di integrazione tra i die rende possibile raggiungere prestazioni nettamente superiori a quelle che si otterrebbero da quattro distinti Fpga montati su un circuito stampato. Il primo dispositivo realizzato con la tecnologia SSI si chiama Virtex-7 2000T e comprende quattro die, per un totale di 6,8 miliardi di transistor che sono stati utilizzati per costruire 2 milioni di celle logiche

La tecnologia Stacked Silicon Interconnect
Come ha spiegato Giles Peckham, direttore marketing di Xilinx per l'area Emea, la tecnologia SSI (Stacked Silicon Interconnect) consente di fissare diversi die a un unico substrato, interponendo tra i primi e quest'ultimo un apposito strato di collegamento in silicio (“silicon interposer”) totalmente passivo. I die attivi, che nel caso del Virtex-7 2000T sono realizzati in un processo a 28 nanometri identico a quello utilizzato per gli altri chip della famiglia, sono posti su un unico piano, cioè sono accostati tra loro come le tessere di un mosaico. Per questo motivo la tecnologia Stacked Silicon Interconnect è indicata anche con la sigla “2.5D” (due dimensioni e mezzo), per distinguerla da un vero e proprio assemblaggio tridimensionale dei chip, che prevede invece la sovrapposizione di più die attivi. La SSI, che Xilinx ha iniziato a sviluppare nel 2006, mette a frutto tecniche preesistenti, come le Through Silicon Via e i microbump, ma le combina in una soluzione innovativa. L'aspetto principale di questa tecnologia è che le connessioni tra i diversi die (diecimila collegamenti ad alta velocità tra l'uno e l'altro) offrono prestazioni nettamente superiori a quelle tra dispositivi diversi su un circuito stampato: diviene possibile, infatti, fare a meno degli I/O, che consumano molta energia, mentre la latenza dei segnali tra i diversi die è ridotta a un nanosecondo. La tecnologia SSI può essere vista anche come una scorciatoia per risolvere il problema della resa produttiva: normalmente i chip più grandi sono gli ultimi ad essere resi disponibili per ogni generazione di Fpga, perché la loro resa diventa accettabile solo dopo una lunga messa a punto del processo di fabbricazione, ma assemblando quattro die di dimensioni normali questo problema può essere aggirato. Nel caso di Virtex -7 2000T la fabbricazione dei quattro die a 28 nanometri e del silicon interposer è affidata a Tsmc, il substrato è realizzato da Ibiden, mentre l'assemblaggio è curato da Amkor Technology.

Il vantaggio competitivo
Secondo Xilinx, la nuova soluzione assicura alla società un netto vantaggio nei confronti della concorrenza. A questo proposito Peckam ha illustrato esempi che prendono come riferimento il confronto con soluzioni basate su “normali” Fpga monolitici da 980.000 elementi logici. Per quanto riguarda le prestazioni Dsp, sono necessari quattro di questi dispositivi per raggiungere 1,2 Tmacs, contro gli 1,5 Tmacs di un solo Virtex-7 2000T. Sempre secondo Xilinx, le differenze sul fronte dei consumi sono enormi: i quattro Fpga monolitici e i loro collegamenti consumano complessivamente 112 watt, contro i 19 watt del Virtex-7 2000T.

Le applicazioni
Ma quali sono le applicazioni che possono avere bisogno di un Fpga da due milioni di celle logiche? Xilinx ha individuato tre aree principali: la sostituzione degli Asic di grandi dimensioni, l'ulteriore integrazione dei sistemi basati su Assp, la realizzazione di emulatori più capaci. In tutti questi casi, i Virtex-7 2000T consentono di ottenere subito vantaggi che altrimenti avrebbero richiesto la disponibilità di dispositivi monolitici di pari dimensioni, evento che avverrà presumibilmente tra un paio d'anni con il passaggio ai 20 nanometri. Nel caso degli emulatori, ciò significa poter iniziare subito a lavorare sul software dei nuovi progetti Asic di grandi dimensioni, riducendo il time to market. L'ambiente di sviluppo Ise Design Suite di Xilinx sarà aggiornato con l'aggiunta di funzioni che consentono di sfruttare i nuovi Virtex -7 2000T. Il software sarà in grado di ripartire automaticamente il progetto tra i quattro die. Xilinx prevede di sfruttare la tecnologia SSI anche per altri dispositivi; uno di questi futuri prodotti sarà dotato di numerosi transceiver a 28 Gbps per ottenere una velocità complessiva di 2,8 Tbps (terabit per secondo). Altri prodotti potranno contenere anche die di natura diversa rispetto agli Fpga. La tecnologia SSI, inoltre, potrà essere applicata anche ai futuri “nodi” del processo di fabbricazione.

LASCIA UN COMMENTO

Inserisci il tuo commento
Inserisci il tuo nome